实验一四选一数据选择器的设计.docx

想预览更多内容,点击预览全文

申明敬告:

本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己完全接受本站规则且自行承担所有风险,本站不退款、不进行额外附加服务;如果您已付费下载过本站文档,您可以点击这里二次下载

文档介绍

实验一 四选一数据选择器的设计一、实验目的1、熟悉Quartus II软件的使用。2、了解数据选择器的工作原理。3、熟悉EDA开发的基本流程。二、实验原理及内容实验原理数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称MUX。4选1数据选择器:(1)原理框图:如右图。D0 、D1、D2、D3 :输入数据A1 、A0 :地址变量由地址码决定从4路输入中选择哪1路输出。(2)真值表如下图:(3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。实验内容1、分别采用原理图和VHDL语言的形式设计4选1数据选择器2、对所涉及的电路进行编译及正确的仿真。三、实验条件Quartus II实验环境四、实验与仿真原理图: D0 、D1、D2、D3 :输入数据A1 、A0 :地址变量由地址码决定从4路输入中选择哪1路输出。(2)真值表如下图:仿真结果:St为功能端。当st=1时y=0;当st=0时选择器才开始工作。当 a1a0=00时 y=d0 a1a0=01时 y=d1 a1a0=10 时y=d2 a1a0=11时 y=d3完成了四选一的功能。Vhdl编码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux4 is port(a0,a1,a2,a

最近下载